热门关键词:
EDA多功能数字钟的实现
  • 该文件为doc格式
  • 文件大小:1.46M
  • 下载次数
  • 文件评级
  • 更新时间:2012-11-25
  • 发 布 人yangjj1023
  • 文件下载:
  • 立即下载

  • 文件介绍:
  • 该文件为 doc 格式,下载需要 0 积分
  • EDA多功能数字钟的实现   题目:多功能数字钟的设计
       要求:
       1.显示格式为“小时-分钟-秒钟”;
       2.具备整点报时功能,报时时间为整点前10s开始报时,喇叭开始发声,直到整点时,在整点前5sLED开始闪烁,整点过后停止闪烁;
       3.时间可调:调整时间按键S1、S2,S1调节小时,每按下一次增加一小时,S2调节分钟,每按下一次增加一分钟,另外S8作为系统时钟复位按键,复位后全部显示“00-00-00”。
       4.完成数字钟的VHDL程序调试,编译通过;
       5.用网络表观测器察看寄存器传输级电路结构并进行截图;
       6.进行时序仿真并进行截图;
       7.按照规定格式完成大作业的设计报告,格式符合模板要求
    2.程序清单
    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.std_logic_arith.all;
    use ieee.std_logic_unsigned.all;
    Entity cxbsz is
    port(Clk:in std_logic;
    Rst:in std_logic;
    S1,S2:in std_logic;
    spk:out std_logic;
    led:out std_logic_vector(3 downto 0);
    Display:out std_logic_vector(6 downto 0);
    SEG_SEL:buffer std_logic_vector(2 downto 0);
    SEG_WEIXUAN:buffer std_logic_vector(5 downto 0));
    end cxbsz;

    architecture rtl of cxbsz is
    signal Disp_Temp:integer range 0 to 15;
    signal Disp_Decode:std_logic_vector(6 downto 0);
    signal SEC1,SEC10:integer range 0 to 9;
    signal MIN1,MIN10:integer range 0 to 9;
    signal HOUR1,HOUR10:integer range 0 to 9;
    signal Music_Count:std_logic_vector(2 downto 0); ...
文档留言
验证码: 请在右侧输入验证码 看不清楚,换一个
更多..相关文档
    无相关信息