热门关键词:
EDA流水灯课程设计
  • 该文件为rar格式
  • 文件大小:724.45K
  • 下载次数
  • 文件评级
  • 更新时间:2013-09-23
  • 发 布 人tianhongyu2314
  • 文件下载:
  • 立即下载

  • 文件介绍:
  • 该文件为 rar 格式,下载需要 20 积分
  • EDA流水灯课程设计
  • EDA课程设计中包括完整的程序和论文。程序实现了六盏彩灯,从左往右依次间隔0.5秒自左而右点亮,停留3秒后,在依次间隔0.5秒从右往往左依次关断
    五、心得体会
    通过本次课程设计我基本对VHDL的设计流程有了入门的了解和认识,在课堂之外进一步加深了对EDA课程各知识点的学习和以及quartusII软件开发平台的操作,也深深地感受到工科的学习是来不得半点虚伪的,一定要自己动手操作,不懂就是不懂。在程序编写的过程中,我们遇到很多困难,但是我们没有放弃。经过多次改进,本设计实现了流失灯的基本功能,流失灯的运行情况完全符合设想,灯变化合情合理。本次流失灯的设计采用VHDL语言,源程序经Quartus软件仿真。本设计代码具有良好的可读性和可移植性,运用了有限状态机的设计方法,使得它灵活、简单、运算速度快、可靠性高,并且此设计具有良好的扩展性。
    在整个课程设计过程中,老师和同学的帮助让我由衷的感谢,也让自己增加了许多的知识,所以说这次的课程设计让我受益匪浅!
    ...
文档留言
验证码: 请在右侧输入验证码 看不清楚,换一个
更多..相关文档
    无相关信息